CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL viterbi

搜索资源列表

  1. Viterbi_IP.rar

    2下载:
  2. viterbi译码器的IP核,可以直接编译使用,viterbi decoder IP core, the compiler can directly use
  3. 所属分类:VHDL编程

    • 发布日期:2017-06-17
    • 文件大小:76041
    • 提供者:nianln
  1. viterbi.rar

    0下载:
  2. 这是一个用VERILOG HDL语言编写的viterbi译码程序,This is a language VERILOG HDL by the viterbi decoding process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2109
    • 提供者:chenxiaoming
  1. viter2

    0下载:
  2. verilog实现卷积码的译码,viterbi算法-verilog to achieve the decoding convolutional codes, viterbi algorithm
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-01
    • 文件大小:7908
    • 提供者:张洪
  1. viterbi

    2下载:
  2. verilog程序,实现了(2,1,4)卷积码编码,和基于回溯算法的维特比译码器-verilog program to achieve the (2,1,4) convolutional code encoding, and algorithm based on the back of the Viterbi decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:2881
    • 提供者:xiongherui
  1. Viterbi

    0下载:
  2. 实现VHDL的维特比译码 -VHDL Viterbi decoding to achieveVHDL Viterbi decoding to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:148804
    • 提供者:飞熊
  1. ViterbiDecodeK9R12HardDecision

    0下载:
  2. viterbi 硬判决译码,基本实现了(2,1,9)卷积码的硬判决译码,用modelsim RTL仿真通过-hard-decision viterbi decoding, the basic realization of the (2,1,9) convolutional codes hard decision decoding, using modelsim RTL simulation through
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:13031
    • 提供者:maojunling
  1. husw

    0下载:
  2. 用VHDL语言设计维特比 解码器 是VHDL原代码用ModelSim XE III 6.3c软件实现仿真-Language Design with VHDL Viterbi decoder is the VHDL source code with ModelSim XE III 6.3c software simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1016
    • 提供者:hsw0320
  1. Viterbi

    0下载:
  2. Viterbi译码器的FPGA实现代码,来在国外大学论坛.-Viterbi decoder implementation of the FPGA code to the Forum at foreign universities.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:7823
    • 提供者:蔡敏
  1. viterbi

    1下载:
  2. 一个vitrtbi算法的参考实现,verilog的-A reference implementation vitrtbi algorithm, verilog of
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-02
    • 文件大小:62436
    • 提供者:张洪
  1. Viterbi_decoder

    4下载:
  2. Viterbi译码器的编解码器的设计 用Verilog实现-Viterbi decoder。Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:64842
    • 提供者:李风飞
  1. k_9_rate_1-2_VHDL

    0下载:
  2. viterbi generator its very good for convolution
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:24611
    • 提供者:morpheus35
  1. diff_viterbi_decode

    0下载:
  2. viterbi 译码,不是个人随便写出的东西到处乱发,是公司内部产品-viterbi decode
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-01
    • 文件大小:6548
    • 提供者:魏强
  1. vit_dec

    0下载:
  2. viterbi decoder implementation
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:5048
    • 提供者:rocky mehta
  1. viterbi

    0下载:
  2. viterbi decoder with convolutional encoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1389866
    • 提供者:phani
  1. viterbi

    1下载:
  2. verilog code for viterbi encoder and decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:13479
    • 提供者:kamran
  1. 79419138ViterbiFPGA

    0下载:
  2. Viterbi algorithm is used for Forward Error Correction codes for wire less communication net works.-Viterbi algorithm is used for Forward Error Correction codes for wire less communication net works.
  3. 所属分类:Network Security

    • 发布日期:2017-05-16
    • 文件大小:3784813
    • 提供者:parvathalu
  1. viterbi

    0下载:
  2. 对于语音信号的Viterbi算法的简单仿真实现 在QuartusII下-Viterbi algorithm for speech signals simple simulation to achieve in the next QuartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:684
    • 提供者:房先生
  1. viterbi-3.0.1

    0下载:
  2. 前向纠错viterbi-3.0.1的实现 测试通过-FEC viterbi-3.0.1 implementation tested
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-03-28
    • 文件大小:24031
    • 提供者:chack
  1. juanjiandviterbi

    0下载:
  2. 介绍了目前在数字无线通信中常用的一种向前纠错编码卷积码编码和Viterbi解码的原 理,并采用TOP—DOWN的设计思想,利用相关的EDA工具软件进行设计。并将卷积码编码器、 Viterbi译码器设计下载到Ahera公司的FPGA芯片上进行仿真,得到了预期的设计结果。-Viterbi
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-04
    • 文件大小:234488
    • 提供者:徐军
  1. viterbi

    0下载:
  2. 硬判决viterbi译码的硬件实现,通过verilog语言。采用回溯的方法。回溯深度为16.-Hard decision viterbi decoding in hardware, through the verilog language. A retrospective approach. Back depth is 16.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:92440
    • 提供者:Fengxiaodong
« 12 3 4 »
搜珍网 www.dssz.com